# -------------------------------------------------- # UART # Although the UART is defined as a board interface # and the DAPLink port is the correct type # Vivado will not recognise the connection # So need to specify the actual UART pads and IO standards # -------------------------------------------------- # # set_property PACKAGE_PIN A9 [get_ports uart_rtl_0_rxd] set_property PACKAGE_PIN D10 [get_ports uart_rtl_0_txd] # -------------------------------------------------- # Configuration pins # -------------------------------------------------- set_property CFGBVS VCCO [current_design] # referenciado entre 0 y VCC set_property CONFIG_VOLTAGE 3.3 [current_design] # -------------------------------------------------- # Bitstream generation # -------------------------------------------------- set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]